2013-10-09 3 views
2

Я новичок в VHDL и FPGA. У меня есть плата Cyclone 2, DE 1. Я пытаюсь запрограммировать в VHDL так, что он создает анимацию чего-либо (скажем, алгоритм). Я работал на доске и играл с переключателями. Теперь самой большой проблемой для меня является получение дисплея. Для простых программ я загружаю файл .sof и напрямую манипулирую ключами. Теперь я загрузил код VHDL, который рисует прямоугольник, чтобы понять VGA и скомпилировать его. Когда я загружаю файлы .sof, он загружается, но я ничего не вижу на экране. Мой вопрос: если VGA-файлы будут загружены/запущены любым способом? Я вижу, что для xilinx доступно много материалов, но не для циклона. 2. Может ли кто-нибудь помочь мне в отношении того, как VGA работает в отношении кодирования, компиляции и запуска? Я знаю теорию, нуждаюсь в базовых практических знаниях.Запустить код VHDL, отображаемый на мониторе

ответ

4

Все что вам нужно, это написать драйвер VGA. Я узнал об этом по телефону this site. Пример очень подходит для тех, кто не знаком с VGA. Вы также можете загрузить примерный код. Обратите внимание на временные спецификации для различных режимов VGA в нижней части этой страницы.

Также this учит писать игру в понг. Получайте удовольствие от этого :).

+0

Сайты предоставляют достаточное количество информации, и они очень четкие. Спасибо за это. У меня было одно небольшое сомнение. Когда я пишу код VHDL, для VGA мне все еще нужно назначать булавки вручную? или о них позаботились? Наконец, я предполагаю, что мне просто нужно загрузить файл .sof. – San

+1

@San Если ваша плата имеет разъем VGA, вам просто нужно вывести на нее и подключить плату к монитору с помощью кабеля VGA. Перед этим внимательно прочитайте схему вашей платы FPGA, чтобы убедиться, что вы выходите на правые контакты. –

+0

Урок потрясающий! – San

Смежные вопросы