2011-01-24 2 views
0

Я установил ghdl в Ubuntu 10.10 (используя apt-get из репозитория) и использовал пример hello_world, доступный в руководстве ghdl. Я могу анализировать (-a) и разрабатывать (-e) успешно, но когда я пытаюсь запустить/выполнить (-r), я получаю следующую ошибку:
/usr/lib/ghdl/bin/ghdl: ошибка компиляцииНевозможно выполнить/запустить любой код vhdl с помощью ghdl

выработать мою проблему, я упомянул код VHDL в конце и последовательность команд, которые я следую ниже:

$ ghdl -a hello.vhdl
$ ghdl -e hello_world
$ ./hello_world
bash: ./hello_world: Permission denied
$ ghdl -r hello_world
/usr/lib/ghdl/bin/ghdl: compilation error

Я пытался работать как корень тоже, но без успеха. Разрешения идеальны, бит выполнения установлен. Кажется, все в порядке, но все равно это не работает. Я даже попытался переустановить пакет ghdl.

Может кто-нибудь, пожалуйста, сообщите мне, что может быть источником проблемы?

Заранее благодарен.

hello.vhdl (VHDL код)

-- Hello world program.
use std.textio.all; -- Imports the standard textio package.
-- Defines a design entity, without any ports.
entity hello_world is
end hello_world;
architecture behaviour of hello_world is
begin
process
variable l : line;
begin
write (l, String'("Hello world!"));
writeline (output, l);
wait;
end process;
end behaviour;

ответ

2

Установлена ​​ли ваша файловая система noexec? Что говорит mount о файловой системе, что ваша рабочая область одна?

+0

Получил! На горе не было установленного exec! Когда раздел теперь имеет разрешение exec, ghdl отлично работает. Большое спасибо за Вашу помощь. –

+0

Теперь вопрос заключается в том, что он считается выстрелом в темноте или был предоставлен из личного опыта? И с небольшой злобой предусмотрительности, chmod -x scan_tb; ghdl -r scan_tb дает: 'ghdl: ошибка компиляции' (и не особенно описательна). – user1155120

+0

@ DavidKoontz - это было давно, но я думаю, что это был выстрел в темноте (конечно, у меня не было этой конкретной проблемы с GHDL, хотя у меня было это, пытаясь запустить другие исполняемые файлы с помощью памяти - которое было установлено noexec). –

1

я могу воспроизвести проблему, если бит исполнения не установлен.

Вы уверены, что никаких проблем с правами доступа?

+0

Да, я уверен в этом. Выходной сигнал «ls -al hello_world» равен «-rwxr-xr-x 1 neel neel 447332 2011-01-25 12:16 hello_world» –

0

У меня была такая же проблема, и мое решение, которое, похоже, исправило все, было в chmod папке/usr/local/bin/ghdl с модемом 777.

Смежные вопросы