vhdl

    2зной

    4ответ

    Как смоделировать поведение стека или очереди в VHDL? Любые указатели? Я подумал о том, чтобы использовать что-то вроде операции с логическим сдвигом бит, но как проверить ограничения пустого стека ил

    13зной

    3ответ

    Как вы объединяете биты в VHDL? Я пытаюсь использовать следующий код: Case b0 & & b1 b2 b3 & является ... и выдает ошибку Благодаря

    5зной

    4ответ

    Я использую Altera Quartus 2 для создания пользовательского 8-битного процессора, и для его компиляции на моем ноутбуке требуется много времени. Я использую только симуляции и делаю свой процессор схе

    4зной

    3ответ

    Для университетского среднесрочного проекта мне нужно спроектировать конфигурируемый процессор, чтобы написать код в VHDL, а затем синтезировать его на плате FPGA Spartan 3E от Digilent. Я новичок, по

    2зной

    2ответ

    У меня есть код VHDL, который я пишу для класса. Однако инструмент синтеза идентифицирует cell3, cell2 и cell1 как «мертвый» код, и он не будет его синтезировать. Я действительно не знаю, что происход