2013-03-31 3 views
1

Ну, моя проблема была заявлена, когда у меня был мой код VHDL и запущен на моем Spartan-3a, но ему нужно было отправить и получить данные от него на компьютер, Мне нужен мой код VHDL, поэтому я пошел на микроплазменную структуру, проблема Я не могу понять, как мой код vhdl и microblaze будут сосуществовать одновременно, потому что каждый раз, когда я программирую fpga с SDK, он удаляет мой vhdl с fpga и vise versa с помощью ISE, я не хочу использовать пользовательские периферийные устройства, за исключением случаев, когда это только решение. Некоторые люди просто говорят мне, что я просто использую файлы microblaze hdl, созданные EDK, хорошо, но тогда я не использую unprogrrammed MicroBlaze ??? И мне нужно пройти все это, чтобы иметь возможность общаться с моим кодом VHDL через ПК (НЕ Я НЕ ИСПОЛЬЗУЮ R R232, так как мне нужна скорость 56 Мбит/с)Как мой код vhdl и microblaze сосуществуют?

Итак, вот что я не знаю, t понимать: 1-ЕСЛИ вы внедрили microblaze через аппаратное обеспечение (HDL от edk до ISE), разве это не программированный процессор?

2-PEOPLE TELL ME Я могу позволить microblaze и код моего VHDL видеть друг друга через GPIO, еще раз, как я буду реализовывать GPIO и как подключить его как к microblaze, так и к моему VHDL-коду, а также о том, как запрограммировать microblaze, пока он аппаратное обеспечение в этой ситуации.

Пожалуйста, помогите, своего рода беспорядок.

ответ

4

Это не так сложно, но, к сожалению, документация Xilinx не так понятна.

Что вам нужно сделать после того, как вы закончите с кодом Microblaze, и вам будет удобно с ним, это создать новый проект в ISE или тот, который у вас уже был, а затем добавить новый файл в проект, но вместо этого добавления файла VHDL или Verilog, вы должны добавить системный файл из EDK.

После того, как вы добавили свой проект XPS в ISE, вам необходимо выполнить ручную работу, чтобы заставить вас работать.

Вот список вещей, которые нужно сделать:

  • Вы должны создать файл UCF, который включает в себя все ограничения с EDK
  • Вы должны убедиться, что у вас есть достаточно места внутри ваш FPGA для EDK и ваш собственный код
  • Синтезируйте и реализуйте свой проект с использованием проекта в ISE.
  • Запрограммируйте FPGA из битового файла, созданного с помощью ISE

Для связи между МБ и свой собственный код, вы можете сделать это по-разному, самый простой способ заключается в использовании блока GPIO из ваш MB, затем подключите эти сигналы к вашему собственному коду на обложке верхнего уровня.

Вы, может быть в состоянии найти полезную информацию о лаборатории документов и лабораторном материале из следующего Xilinx страницы: enter linkXilinx EDK interface class description here

Доступа к GPIO довольно просто, вы можете использовать информацию на этой странице, чтобы вы начали : Reading DIP Switch with MicroBlaze

вы также можете найти этот документ и связанные с ним файлы очень полезно, это не для вашей платы, но она покрывает ту же самую вещь, которую вы просите: Avnet MB tutorial document

Надеюсь, это достаточно ясно.

+0

Спасибо, но после того, как edk сгенерировал файлы hdl для microblaze и я импортировал их в свой ISE, все hdl-файлы являются обертками, такими как, например, microblaze wrapper и имеют компонентный микроблог, который я не могу найти его файл vhdl и тот же для всех других hdl-файлов, так где найти все эти файлы vhdl? –

+1

Вы не включаете файлы VHDL из EDK, вы включаете файл XPS. Затем вам понадобится обертка для вашего верхнего уровня EDK и кода VHDL, который вы создаете, установите соединение с этой оболочкой и доведите основные сигналы до IO. – FarhadA

+0

Спасибо, это помогло alot –

Смежные вопросы