2013-05-15 3 views
2

sirs У меня возникла проблема в срочном решении. То есть я хочу получить время моделирования VHDL модели с тестовым узлом. Я работаю в ModelSim и знаю, что профилировщик ModelSim поддерживает анализ производительности, но это не та информация, которую я хочу. Затраты времени не означают шаги моделирования, это реальное время, запущенное на моем компьютере. Я надеюсь, что кто-то может мне помочь, может быть, с предложениями в VHDL или командами в ModelSim или других инструментах третьей части. Благодарю.ModelSim VHDL реальная оценка времени моделирования

ответ

1

В Modelsim есть интерпретатор tcl, поэтому вы можете использовать его.

Функция clock seconds, вероятно, является хорошим началом, сохраняет значение в начале и в конце и вычитает их.

TCL tutorial starts here.

Например (Caveat чтец: Я не эксперт TCL, и это было долгое время, так как я написал любой вообще :)

set start [clock seconds]  # store the start time 
run -all      # run the simulation assuming it terminates when finished! 
set finish [clock seconds]  # store the end time 
puts [ expr {finish - start} ] # print out the difference 
+0

Да, это работает, я использую команду, как ваше предложение, следующим образом: set start [clock seconds]; запустить 1 мс; set finish [clock seconds]; puts [expr {$ finish - $ start}] , но я хочу знать, есть ли более точное время, например ms, ns или ps, а не «секунды», которые возвращают мне грубый результат. Спасибо. – vivo

+0

Я понял! используйте клики (ns) подстроки секунд, большое спасибо, сэр. – vivo

1

Вы также можете использовать это:

set sim_time [time {run -all}]

Это даст вам время для моделирования в микросекундах.

Смежные вопросы