2015-01-20 5 views
1

КОД: // Ворота Описание уровня 2x4_decoderошибок моделирования Verilog в Modelsim 10.4 SE

module decoder_2X4_gates(D,A,B); 
output [0:3] D; 
input A,B; 
wire A_not, B_not; 
not f1(A_not,A); 
not f2(B_not,B); 
nand f4(D[0],A_not,B_not); 
nand f5(D[1],A_not,B); 
nand f6(D[2],A,B_not); 
nand f7(D[3],A,B); 
endmodule; 

ОШИБКА: не может читать "Запуск (-L)": нет такого элемента в массиве

Нагрузка аннулируется

+0

Ошибка не из кода показанного кода, он отлично компилируется в Modelsim 10.1d [на EDA Playground] (http://www.edaplayground.com/x/8V2). – Morgan

+0

ya, но эта ошибка возникает, когда я делаю симуляцию. может у, пожалуйста, скажите мне, что все переменные должны перетащить в волновое окно, чтобы увидеть их выход на волне. Эта ошибка возникает, когда я заставляю некоторые значения, а затем пытаюсь увидеть ее вывод. –

+0

Можете ли вы изменить вопрос, чтобы включить то, что вы делаете, чтобы вызвать ошибку. Это просто запуск моделирования или добавление сигналов в окно формы волны. спасибо – Morgan

ответ

1

Не уверен в проблеме с форсированием значений из окна формы волны, но я бы предложил создать тестовый стенд, посредством которого вы можете просто выполнить симуляцию и увидеть результаты.

т.е.

module tb; 

    reg A;  //Test Input 
    reg B;  //Test Input 
    wire [3:0] D;//Test Output 

    //Device Under Test 
    decoder_2X4_gates dut (
    .A (A), 
    .B (B), 
    .D (D) 
); 

    //Test Program 
    initial begin 
    A=1'b0; 
    B=1'b0; 
    #1ps $displayb(D); 

    #1ns; 
    A=1'b1; 
    B=1'b0; 
    #1ps $displayb(D); 

    #1ns; 
    A=1'b0; 
    B=1'b1; 
    #1ps $displayb(D); 


    #1ns; 
    A=1'b1; 
    B=1'b1; 
    #1ps $displayb(D); 

    $finish; 
    end 

endmodule 

Существует рабочий пример этого на EDA Playground.

+0

У меня нет большой идеи об испытательном стенде и все, но все же, спасибо за ваши ценные входы и время. –

+0

@AbhishekTyagi Это тестовая площадка в ответе, она создает экземпляр модуля, который вы пытаетесь протестировать, и управляет значениями во время отображения вывода. – Morgan

+0

Хорошо, конечно, много помогает. Спасибо за ваши материалы. –

Смежные вопросы