2014-05-11 3 views
2
  • Verilog-A такой же, как Verilog?
  • Есть ли тестовый компилятор для Verilog-A?

Потому что, когда я просто вставляю код источника Verilog-A на ModelSim, всегда есть некоторые ошибки, которые невозможно удалить. Может ли ModelSim запустить Verilog-A?Verilog-A & Verilog; Они одинаковы?

ответ

3
  1. Verilog-A HDL получен из спецификации IEEE 1364 Verilog HDL, но они не совпадают.
  2. Я думаю, что вопрос this может помочь вам найти то, что вы ищете.
+1

'-A' суффикс для« аналогового »? http://en.wikipedia.org/wiki/Verilog-A – osgx

2

Verilog-A и Verilog связаны друг с другом, но очень разные.

Verilog был стандартизован первым и обычно предназначен для описания поведения цифровых схем и испытательных стендов. Verilog управляется событиями. Аналоговое поведение можно моделировать в тестовых узлах, но моделирование аналогового поведения может быть затруднено.

Verilog-a был стандартизован позже и был основан на синтаксисе verilog. Он предназначен для моделирования аналоговых схем. Эти два языка несовместимы, оба имеют конструкции, которые другие не поддерживают. Verilog-a может имитировать непрерывное поведение времени, например, симуляторы специй/призрак. Он не очень хорошо моделирует цифровое поведение. Modelsim или другие «Verilog simulators» не поддерживают Verilog-a - в основном поддерживаются симуляторами специй.

Verilog-AMS - это эволюция Verilog-a, которая позволяет как аналоговым, так и цифровым конструкциям сосуществовать в одном файле/блоке. Однако он снова поддерживает только подмножество современного verilog. Он не поддерживается стандартными симуляторами Verilog - опять-таки поддержка на аналоговом/spice/specter симуляторах.

1

Verilog - устаревший стандарт для описания цифрового оборудования. Формально эта последняя версия была IEEE 1364-2005. Он был заменен SystemVerilog (IEEE 1800-2012). Хотя устаревшие, многие инструменты все еще поддерживают его.

Verilog-A является аналоговым расширением. В отличие от своего цифрового аналога, язык предназначен только для проверки (симуляции), он не может быть синтезирован. Он был стандартизован торговой ассоциацией «Open Verilog International». Позже стандарт был заменен подмножеством Verilog-AMS (аналоговые и смешанные сигнальные расширения Verilog).

Если вы хотите имитировать Verilog-A, вам понадобится подходящий симулятор. Это может быть смешанный симулятор сигналов (VCS AMS, Questa ADMS или Allegro AMS, например). Altertnatively большинство коммерческих симуляторов SPICE поддерживают Verilog-A.

Смежные вопросы