Интересно, как написать std_logic_vector как целое число со знаком в VHDL testbench?VHDL запись std_logic_vector как целое число под знаком в файле
1
A
ответ
2
Использование numeric_std:
signal test_in : std_logic_vector(2 downto 0);
signal test_out : integer range -4 to 3;
test_out <= to_integer(signed(test_in));
0
Вам необходимо преобразовать в целое число (с помощью use ieee.numeric_std.all;
, что означает, что вам нужно знать, если ваш std_logic_vector
является представителем подписанную или беззнаковое число Ideally, you'd use the relevant type rather than the bag-of-bits that std-logic_vector
is.
Преобразование. целое число является таким же простым, как:
int_variable := to_integer(some_vector);
Если вы должны придерживаться std_logic_vector
Вы должны будете сообщить компилятору, является ли это знаком или без знака, как это:
int_variable := to_integer(unsigned(some_vector));
или это:
int_variable := to_integer(signed(some_vector));
Тогда вы можете написать вам целое в файл, как обычно.
Смежные вопросы
- 1. Как преобразовать целое число в двоичное представление в VHDL?
- 2. Как «разрезать» std_logic_vector в VHDL?
- 3. Как инициализировать std_logic_vector в VHDL?
- 4. значение (VHDL) std_logic_vector
- 5. VHDL: Subtract std_logic_vector
- 6. VHDL - Dynamic std_logic_vector size
- 7. VHDL - Проблема с std_logic_vector
- 8. написать целое число в файл vhdl
- 9. VHDL: преобразование std_logic_vector в целое число (работает в симуляции, а не на практике)
- 10. Синтаксический STD_LOGIC_VECTOR в целое
- 11. переполнение индекса в VHDL std_logic_vector
- 12. VHDL: Преобразование String в Std_Logic_Vector
- 13. Запись в файл - целое число
- 14. преобразование без знака в целое число VHDL
- 15. преобразовать целое число в строку - VHDL
- 16. Как преобразовать двоичное число в десятичное число под знаком
- 17. Запись Tkinter как целое число
- 18. VHDL - Как добавить 1 в STD_LOGIC_VECTOR?
- 19. Как взять абсолютное значение std_logic_vector? в VHDL
- 20. Как я могу индексировать в vhdl std_logic_vector?
- 21. Как вы объявляете общий std_logic_vector в VHDL?
- 22. VHDL STD_LOGIC_VECTOR Значения подстановочных знаков
- 23. Разделите целое число со знаком на 2
- 24. VHDL, что более эффективно использовать: целое число с диапазоном или std_logic_vector
- 25. VHDL std_logic_vector indexing с "downto"
- 26. std_logic_vector для целочисленного преобразования vhdl
- 27. Как вычислить CRC32 как целое число со знаком в C#?
- 28. Запись файла регистра в VHDL
- 29. VHDL - Как обнаружить изменения на std_logic_vector?
- 30. VHDL - Как изящно инициализировать массив std_logic_vector?
Не уверен, что подписанный 3-битный вектор поместится в «целочисленный диапазон от 0 до 7», не так ли? –
Действительно. Я изменил ответ. – Russell