iverilog

    0зной

    1ответ

    Я попытался сделать команду iverilog s.t Я могу запустить программу verilog на моем Macbook Air. После нескольких шагов для установки файлов, учебник сказал мне набрать: export PATH=~/bin:/usr/local/i

    2зной

    1ответ

    Я написал код для рябь. Testbench также доступен. Как запустить этот стенд на моем Verilog-коде? У меня нет симулятора. Я использую компилятор iverilog. ripple_carry_adder.v module half_adder(a,b,sum,