2013-05-16 2 views
4

Я пытаюсь разделить два целых числа следующим образом:Как типаж целое число без знака в VHDL

variable m0Low : integer := 0; 
variable m1Low : integer := 0; 
m1Low := divide(m1Low,m0Low); 

С помощью функции:

function divide (a : UNSIGNED; b : UNSIGNED) return UNSIGNED is  
    variable a1 : unsigned(a'length-1 downto 0):=a;  
    variable b1 : unsigned(b'length-1 downto 0):=b;  
    variable p1 : unsigned(b'length downto 0):= (others => '0');  
    variable i : integer:=0;    
    begin  
     for i in 0 to b'length-1 loop  
      p1(b'length-1 downto 1) := p1(b'length-2 downto 0);  
      p1(0) := a1(a'length-1);  
      a1(a'length-1 downto 1) := a1(a'length-2 downto 0);  
      p1 := p1-b1;  
      if(p1(b'length-1) ='1') then  
       a1(0) :='0';  
       p1 := p1+b1;  
      else  
       a1(0) :='1';  
      end if; 
     end loop;  
    return a1;  
end divide; 

Однако, я получаю следующее сообщение об ошибке: Divide can not have such operands in this context.

Я пытаюсь преобразовать переменные в неподписанные m1Low := divide(unsigned(m1Low),unsigned(m0Low));

Но я получаю следующее сообщение об ошибке: The expression can not be converted to type unsigned.

Любая идея, что я могу сделать? Благодаря Харис

+0

По какой-либо причине вы не можете просто использовать оператор деления? 'm1Low: = M1Low/m0Low'? –

+0

Это дает ошибку, что правильная часть знака/должна быть силой 2! Не знаю, почему. –

+0

Ах, это потому, что ваш синтезатор недостаточно умен, чтобы построить разделитель для нестатических, не-силовых-2. Оценка лучше (т. Е. Более дорогостоящие инструменты синтеза() могут помочь. Или используя CoreGen для создания ядра разделителя. –

ответ

6

Чтобы преобразовать целое число без знака или подписанных данных типа над,

use IEEE.NUMERIC_STD.all; 

вы должны использовать,

to_unsigned(I,U’length); 
to_signed(I,S’length) 

где я целое значение и U'length является беззнаковым длина вектора (число бит).

я не проверял свой код и как он на самом деле работает, но моя коррекция на ваш код просто,

m1Low := to_integer(divide(to_unsigned(m1Low, N),to_unsigned(m0Low, N))); 

следует указать N, где его длина вашего вектора зависит от вашего дизайна. Я использовал to_integer(), потому что ваша функция возвращает значение unsigned для целочисленной переменной.

Надеюсь, что эти простые заметки помогут вам.

+0

Правильно! Большое спасибо –

4

Если вы хотите передать целые числа без знака в качестве векторов, вам нужно обращенного них, не типаж их.

Сначала вы хотите numeric_std библиотеки:

use ieee.numeric_std.all; 

Затем вы можете использовать to_unsigned для преобразования целых чисел без знака для векторов. Для этой функции вам необходимо знать длину знака вектора конвертирование так использовать 'length атрибута:

destination_vector := to_unsigned(source_integer, destination_vector'length); 

Вы можете преобразовать обратно из знака в целом (что не нужно говорить длине вход, поскольку информация о функциональных входах напрямую доступна для функции):

destination_integer := to_integer(source_vector); 
Смежные вопросы