2013-08-22 6 views
0

Я моделирую LEON3 с моделями. После make vsim Я получаю файл do, который я использую для вызова modelsim. Это дает мне предупреждение, и я не знаю, актуально ли это сообщение. На самом деле я не знаю, что это значит.Modelsim Warning: «не обозначает порт»

# ** Warning: [6] /path/to/lib/tech/ec/orca/orca.vhd(14744): (vcom-1288) VITAL timing generic "tpd_a1_s2" port specification "s2" does not denote a port. 

Указанный код:

ENTITY fadsu2 IS 
    GENERIC(
     TimingChecksOn : boolean := TRUE; 
     XOn   : boolean := FALSE; 
     MsgOn   : boolean := TRUE; 
     InstancePath : string := "fadsu2"; 
     tpd_a0_bco  : VitalDelayType01 := (0.001 ns, 0.001 ns); 
     tpd_a1_bco  : VitalDelayType01 := (0.001 ns, 0.001 ns); 
     tpd_b0_bco  : VitalDelayType01 := (0.001 ns, 0.001 ns); 
     tpd_b1_bco  : VitalDelayType01 := (0.001 ns, 0.001 ns); 
     tpd_bci_bco : VitalDelayType01 := (0.001 ns, 0.001 ns); 
     tpd_con_bco : VitalDelayType01 := (0.001 ns, 0.001 ns); 
     tpd_a0_s0  : VitalDelayType01 := (0.001 ns, 0.001 ns); 
     tpd_a0_s1  : VitalDelayType01 := (0.001 ns, 0.001 ns); 
     tpd_a1_s0  : VitalDelayType01 := (0.001 ns, 0.001 ns); 
     tpd_a1_s1  : VitalDelayType01 := (0.001 ns, 0.001 ns); 
    tpd_a1_s2  : VitalDelayType01 := (0.001 ns, 0.001 ns); -- <--- here 
     tpd_b0_s0  : VitalDelayType01 := (0.001 ns, 0.001 ns); 
     tpd_b0_s1  : VitalDelayType01 := (0.001 ns, 0.001 ns); 
     tpd_b1_s0  : VitalDelayType01 := (0.001 ns, 0.001 ns); 
     tpd_b1_s1  : VitalDelayType01 := (0.001 ns, 0.001 ns); 
     tpd_bci_s0  : VitalDelayType01 := (0.001 ns, 0.001 ns); 
     tpd_bci_s1  : VitalDelayType01 := (0.001 ns, 0.001 ns); 
     tpd_con_s0  : VitalDelayType01 := (0.001 ns, 0.001 ns); 
     tpd_con_s1  : VitalDelayType01 := (0.001 ns, 0.001 ns); 
     tipd_a0  : VitalDelayType01 := (0.0 ns, 0.0 ns); 
     tipd_a1  : VitalDelayType01 := (0.0 ns, 0.0 ns); 
     tipd_b0  : VitalDelayType01 := (0.0 ns, 0.0 ns); 
     tipd_b1  : VitalDelayType01 := (0.0 ns, 0.0 ns); 
     tipd_bci  : VitalDelayType01 := (0.0 ns, 0.0 ns); 
     tipd_con  : VitalDelayType01 := (0.0 ns, 0.0 ns)); 

    PORT(
     a0    : IN std_logic; 
     a1    : IN std_logic; 
     b0    : IN std_logic; 
     b1    : IN std_logic; 
     bci   : IN std_logic; 
     con   : IN std_logic; 
     bco   : OUT std_logic; 
     s0    : OUT std_logic; 
     s1    : OUT std_logic); 

    ATTRIBUTE Vital_Level0 OF fadsu2 : ENTITY IS TRUE; 

END fadsu2; 

любые sugestions?

+1

В вашем порту нет s2. Из IEEE Std 1076.4-1995 4.3.2.1.3.1 Задержка распространения «Генерация времени, начинающаяся с префикса tpd, представляет собой генератор времени резервной передачи, представляющий задержку распространения, связанную с указанным путем задержки ввода-вывода». Вы, как правило, не знакомы с объявлениями общего типа и порта. Прокомментируйте это и посмотрите, что происходит. – user1155120

ответ

1

У меня была копия источника, лежащего вокруг. Прокомментируйте оскорбительную строку, в которой упоминается tpd_a1_s2, и она будет компилироваться.

Без модификации:

[email protected]: ghdl -a fadsu2.vhdl 
fadsu2.vhdl:23:7:warning: 's2' is not a port name (in VITAL generic name) 

Комментирование нарушившего родовое:

[email protected]: ghdl -a fadsu2.vhdl 
[email protected]: 

И анализы. (Я извлек сущность и архитектуры пару для fadsu2 от orca.vhd)

Вопрос заключается в том, что у Вас есть значение TPD (время задержки распространения), указанного между входом a1 и выходом s2 (tpd_a1_s2), где выходной порт s2 является несуществующим ,

Смежные вопросы