2015-04-09 5 views
1

Я разрабатываю реализацию Flip Flop мастера-ведомого D в ModelSim. После компиляции (Compile > Compile All), я печатаю vsim в консоль, и только ошибка брошена являетсяModelSim Error Loading Design

# vsim 
# Start time: [time] 
# Error loading design 

Есть ли способ иметь vsim быть более многословным, что происходит не так? Или, может быть, кто-то может сказать мне, что я делаю неправильно?

Для справки, мой код ниже:

methods.v

module dFlipFlop(
    D, 
    Clk, 
    En, 
    Q 
); 

input D, Clk, En; 
output Q; 
reg Q; 

always @ (posedge Clk) 
if(~En) begin 
    Q <= 1'b0; 
end else begin 
    Q <= D; 
end 

endmodule 

module masterSlaveDFF(
    D, 
    Clk, 
    En, 
    Q 
); 

input D, Clk, En; 
output Q; 

wire Y, inClk; 

assign inClk = ~Clk; 

dFlipFlop first (.D(D), .Clk(Clk), .En(En), .Q(Y)); 
dFlipFlop second (.D(Y), .Clk(inClk), .En(En), .Q(Q)); 

endmodule 

dflipflop.v (My Testbench)

`include "methods.v" 

module masterSlaveTest(); 

reg D, Clk, En, Q; 

initial begin 
    $monitor(D, Clk, En, Q); 

    D = 1; 
    Clk = 1; 
    En = 0; 

    #5 $finish; 
end 

always begin 
    #5 Clk = ~Clk; 
end 

endmodule 
+0

Вы проинструктировали компилятор, где найти прилагаемый файл? Некоторые симуляторы используют '+ incdir +/some/dir', но я не использую ModelSim. – toolic

+0

Да, я пробовал специально ссылаться на свой тестовый скам-файл, но безрезультатно. – Aeolingamenfel

+0

Когда я вставляю весь ваш код в один файл, он компилируется и запускается с симулятором Cadence. Таким образом, ваш код выглядит хорошо. – toolic

ответ

1

Если ваш код компилируется, и прямое обращение к тестовому стенду не работает, иначе через

vsim -novopt [your testbench module name here]

или просто

vsim

Тогда, по крайней мере, в моем случае, это была проблема лицензирования. Обратите внимание, что я использую Student Edition ModelSim. Когда я впервые запустил vsim, он дал длинное сообщение об ошибке, объяснив, что мне нужно поместить файл лицензии (который вы получите во время установки) в определенном каталоге.

Для Студенческого издания необходимо переименовать файл student_license.dat в license.dat и поместить его в C:\Modeltech_pe_edu_10.4a\win32pe_edu\. Структура вашего каталога может отличаться, но, как я понимаю, соглашения об именах аналогичны.

В некоторых случаях я также читал, что license.dat должен быть в родительском файле win32pe_edu, но в моем случае он работал в win32pe_edu.

1

Для пользователей Windows:

Если код правильный, и вы уже скопировали файл лицензии (student_license.dat) в нужной папке (C: \ Modeltech_pe_edu_ [VersionNo]) и по-прежнему не работает, попробуйте для запуска ModelSim в качестве администратора. Это может сделать трюк.

1

У меня была та же проблема. Я разрешил его, щелкнув правой кнопкой мыши на конкретной библиотеке и нажав «Обновить». Затем я перекомпилировал и перезапустил симуляцию, и это сработало.

0

У меня была та же проблема. Вот как я его исправил. Когда я установил modelsim, в конце концов он направляет меня на страницу лицензионного ключа! Я заполнил его, но не получил письмо!

Так что если вы не получили почту, содержащую лицензионный ключ от modelsim, то вам придется снова запустить установку заново! Поэтому, как только вы получите файл license_file.dat, вставьте его в папку modelsim, тогда вы не столкнетесь с такими проблемами!

Смежные вопросы