2015-08-27 5 views
-2

Я пытаюсь создать счетчик с использованием асинхронных сбросов D триггера. Он успешно компилируется, но это ошибка, я получил во время моделирования в ModelSim:Ошибка загрузки дизайна ModelSim 10.1

'error loading design' 

И над ним, я обнаружил четыре другие ошибки:

# ** Error: (vopt-3053) C:/modeltech64_10.1c/examples/project3.v(48): Illegal output port connection for "'q' (1st connection)". 
# 
# ** Error: (vopt-3053) C:/modeltech64_10.1c/examples/project3.v(49): Illegal output port connection for "'q' (1st connection)". 
# 
# ** Error: (vopt-3053) C:/modeltech64_10.1c/examples/project3.v(50): Illegal output port connection for "'q' (1st connection)". 
# 
# ** Error: (vopt-3053) C:/modeltech64_10.1c/examples/project3.v(51): Illegal output port connection for "'q' (1st connection)". 

Это программа:

module Flipflap_TN(q,t,clk,reset); 
input t,clk,reset; 
output q; 
reg q; 
reg temp=0; 

[email protected](negedge clk,negedge reset) 
begin 
    if(reset) 
    begin 
    if(t==0) 
    temp=temp; 
    else 
    temp=~temp; 
    q=temp; 
    end 
    else 
    q=0; 
    end 

endmodule 


module counter(q,t,clk,m,reset); 
input t,clk,m,reset; 
output [3:0]q; 
reg [3:0]q; 

wire h0,h1,h2,h3; 
xor(h0,clk,m); 
xor(h1,q[0],m); 
xor(h2,q[1],m); 
xor(h3,q[2],m); 

Flipflap_TN FTN1(q[0],t,h0,reset); 
Flipflap_TN FTN2(q[1],t,h1,reset); 
Flipflap_TN FTN3(q[2],t,h2,reset); 
Flipflap_TN FTN4(q[3],t,h3,reset); 

initial 
begin 
if(m==1 & q==4'b1111) 
    q=4'b0; 
else if(m==0 & q==4'b1010) 
    q=4'b0; 
end 

endmodule 

Как исправить эти ошибки?

ответ

0

Выход модуля должен включать wire, а не reg. Вы не должны назначать q в пределах counter.

В Flipflap_TN, последовательная логика должна использовать неблокирующие задания (<=), не блокирует (=)

гирляндных счетчиков (где Q одной флопа является функцией тактового сигнала другого флопа) являются не рекомендуется для реальных конструкций. У них плохое время и могут иметь глючные выходы. Это хорошо для обучения, но лучше всего, если все флопы управляются одними и теми же часами.

Смежные вопросы