2016-10-26 2 views
-1

Я хочу инициализировать RAM блока с текстовым файлом, который содержит данные ASCII, такие как «AGCCT», есть ли какие-либо идеи, как я могу это сделать? Я могу инициализировать BRAM с файлом, который содержит двоичные или шестнадцатеричные данные, но я не знаю, как его инициализировать с помощью файла ASCII. Я хочу реализовать этот код на FPGA, поэтому мне нужно использовать синтаксис, который синтезируется.Инициализировать ОЗУ блока с файлом, который содержит данные ASCII

+0

Просто преобразуйте файл данных ASCII в шестнадцатеричный файл. – toolic

+0

Я не хочу конвертировать файл ASCII в файл Hex. Есть ли другой вариант? – Elnaz

ответ

0

Я думаю, что преобразование ASCII в hex/двоичный файл, а затем использование $readmemb или $readmemh послужит вашей цели.

reg [3:0] memory [15:0] ; 
initial begin 
    $readmemb("data.bin", memory) ; 
end 
Смежные вопросы