2013-07-03 5 views
3

Я пытаюсь использовать Quartus II 13.0 (бесплатный веб-пакет) на Linux (Kubuntu 12.04 LTS) из командной строки для создания списков технологий Verilog из Verilog RTL. Мне нужно это для проекта, где я сравниваю результаты синтеза, созданные различными инструментами для тысяч генерируемых файлов Verilog. Таким образом, с помощью графического интерфейса на самом деле не вариант ..Использование Quartus из командной строки

Например с Xilinx Vivado я могу сделать это с помощью следующей команды TCL:

read_verilog input.v 
synth_design -part xc7k70t -top top_module 
write_verilog output.v 

Большинство ASIC инструменты, которые я работал с подобными обеспечивают интерфейсы.

С Xilinx XST это немного сложнее:

1.) генерация файла work.xst:

run -ifn work.prj ..some..more..options.. 

2.) генерация файла work.prj:

verilog work "input.v" 

3.) работает синтез:

xst -ifn work.xst 

4.) генерация Verilog списка соединений из Verilog двоичного:

netgen -w -ofmt verilog work.ngc output 

Но с Quartus II Я не могу найти никакой документации вообще о том, как использовать инструменты командной строки. Все, что я могу найти, это документация к графическому интерфейсу IDE. Может, я просто смотрю не туда?

Итак, прежде чем я начну выталкивать IDE Quartus II с помощью strace и аналогичных инструментов: Кто-нибудь использует Quartus II из командной строки и может указать мне в правильном направлении?

+1

I подумайте, что документация, которую вы ищете, это http://www.altera.com/literature/hb/qts/qts_qii52003.pdf и http://www.altera.com/literature/hb/qts/qts_qii52002.pdf – vermaete

ответ

4

Это очень хорошо скрыто - Quartus-II Handbook Version 13.0, стр. 2-10 (или поиск ASSIGNMENT_FILES) - создает один пример Makefile. Кажется, что бит-гниль - я удалил материал ASSIGNMENT_FILES, и штампы излишни (вы можете проверить лог-файлы), но это начало, и основная структура работает.

+1

Просто нашел это, что (удивительно) выгружает пример makefile: 'quartus_map --help = makefiles' – EML

3

Например, следующий сценарий оболочки синтезирует модуль binary_ops_00000000 из проектного файла binary_ops_00000000.v:

PATH=$PATH:/opt/altera/13.0/quartus/bin 
quartus_map binary_ops_00000000 --source=binary_ops_00000000.v --family="Cyclone III" 
quartus_fit binary_ops_00000000 
quartus_eda binary_ops_00000000 --formal_verification --tool=conformal 
cp -v fv/conformal/binary_ops_00000000.vo output.v 

quartus_eda поддерживает широкий диапазон режимов differend (см quartus_eda --help=tool для таблицы). Verilog, созданный для Conformal LEC, отлично подходит для моей цели.

Я бы рекомендовал запустить эти команды в противном случае пустым каталогом, поскольку они генерируют кучу файлов и в некоторых случаях печатают сообщения об ошибках, если найдены файлы из предыдущих запусков (с разными настройками).

2

Вы также можете использовать следующую команду, чтобы получить помощь на обоих все аргументы командной строки и функции Tcl

quartus_sh --qhelp 
Смежные вопросы