С Modelsim Я хотел бы протестировать код, но один сигнал всегда остается неинициализированным. Вот код пропущено, чтобы объяснить проблему с Modelsim:Проблема с объявлением сигнала Modelsim
-- Signal Declaration
signal shifter : std_logic_vector(0 to 6);
signal led_out_temp : std_logic;
process (reset_reset_n) is
begin
if reset_reset_n = '0' then
shifter <= (others => '0'); -- After reset_reset_n goes to '0' shifter is '0000000'
led_out_temp <= '0'; -- Always has the value 'U'
end if;
end process;
Когда я шагаю через него я могу проверить значения, но даже после того, как выйти из процесса сигнал «led_out_temp» является «U». Может кто-нибудь сказать мне, почему?
Спасибо!
Этот минимальный пример не является полным. Можете ли вы предоставить полный испытательный стенд? Ваш процесс представляет собой комбинаторный процесс, поэтому 'led_out_temp' имеет поведение защелки. – Paebbels
Недостаточно информации в вашем вопросе, чтобы дублировать проблему, это не [минимальный, полный и проверенный пример] (http://stackoverflow.com/help/mcve). Общей причиной значений «U» является несколько драйверов со значением по умолчанию «U». – user1155120