Я определил свое состояние следующим образом:государства std_logic
type state_type is (s0, s1, s2, s3);
signal state : state_type;
Теперь я хотел бы использовать эту информацию о состоянии для формирования другого сигнала
signal data : std_logic_vector(3 downto 0);
signal data_plus_state : std_logic_vector(5 downto 0);
....
data_plus_state <= data & state;
Кто-нибудь знает, как я могу концертное состояние в std_logic_vector, чтобы я мог объединить эти сигналы ?
Большое спасибо, Роб
Принадлежит на ChipHacker, но никакой возможности не предусмотрено SO. Плохо! – leppie