Я хочу написать свойство в SVA, чтобы официально проверить поведение.Как написать свойство в утверждениях системы verilog?
Вот что я хочу:
property prop1(sig1,sig2,sig3,sig4);
@(posedge clk)
$fell(sig1) ##[1:$] first_match($fell(sig2)) ##0 sig3 |-> sig4 == sig3;
endproperty
Как я могу переписать выше свойство, так что после sig1 падает, он остается низким в течение оставшихся циклов оценки?
Примечание: Я не хочу, чтобы положить sig1, как отключить IFF (sig1)
Спасибо!
ли «после того, как sig1 падает, он остается низкий уровень в течение оставшихся циклов оценки», часть _precondition_ или _condition_? Другими словами, вы хотите проверить, что sig4 == sig3 _if_ sig1 остается низким ИЛИ вы хотите _check_, чтобы sig1 оставался низким, а также проверял sig4 = sig3? –