2014-10-13 2 views
-1

это 8x1mux VHDL программу основная программа работает без ошибок, но в тесте их некоторый сигнал я, s, у есть указывает на ошибку и сказать, что я, с, у есть уже заявлено.VHDL Мультиплексор 8: 1 ошибка в испытательном стенде

test bench

ошибка в испытательном стенде

enter image description here

+1

Пример кода должен быть [Минимальный, Полный, Действительный Пример] (http://stackoverflow.com/help/mcve). Картинки отрицают другие преимущества поиска, выполненного по вашему вопросу. Обратите внимание, что в вашем [Modelsim 10.1c] (http://www.cc.gatech.edu/~hesmaeil/teaching/cs3220/01-2014fa/doc/modelsim/ModelSim_Reference_Manual_v10.1c.pdf) (стр.295) вы можете ввести verror 1294 в приглашении Transcript или shell, и в первом предложении вам сообщается: «Два объявления, которые происходят сразу в пределах одного и того же декларативного региона, не должны быть гомографами, если только одно из них не является объявлением предопределенной операции». – user1155120

ответ

3

Код говорит:

... 
entity mux8x1_t is 
end mux8x1_t; 

architecture mux8x1_t_a of mux8x1 is 
    component mux8x1 
... 

Так architecture не для просто объявлен entity, как, вероятно, намерение, другое архитектуры для mux8x1, а так как mux8x1 имеет порты с именем i, s и y, сигналы с именем i, s и y в архитектуре делают компиляцию генерирующей ошибку.

architecture часть должна быть изменена:

architecture mux8x1_t_a of mux8x1_t is 

Для ошибки near "=": syntax error измените ;= на :=.

Смежные вопросы