Итак, у меня есть программа VHDL, которая полагается на часы для процессов, однако я не знаю, как разместить часы в файле ограничений. В моем исходном файле дизайна, я объявляю часы, какСопоставление часов в файле ограничений VHDL
clk : IN std_logic;
Я попробовал несколько вещей, на основе того, что я видел в Интернете, как
create_clock -period 5 -name clk [get_ports clk]
и
set_property PACKAGE_PIN L16 [get_ports clk]
set_property IOSTANDARD LVCMOS33 [get_ports clk]
create_clock -add -name clk -period 8.00 -waveform {0 4} [get_ports clk]
Ни один из них не работал до сих пор. Основная ошибка, которую я продолжаю получать, -
Placer failed with error: 'IO Clock Placer failed'
Любая помощь приветствуется.
Я использую Vivado 2015.2 и программирую плату ZYBO.
'clk' находится в списке соединений. Я попытался использовать мастер часов, так что теперь я делаю это с часами - create_clock -period 10.000 -name clk -waveform {0.000 5.000} [get_ports clk]. - Это основная ошибка, которую я получаю, когда пытаюсь реализовать дизайн: - [Место 30-58] Место размещения IO невозможно. Количество незастроенных терминалов (1) больше числа доступных сайтов (0). Следующие группы терминалов ввода/вывода не имеют достаточной емкости: IO Группа: 0 с: SioStd: LVCMOS18 VCCO = 1,8 Прекращение: 0 TermDir: In RangeId: 1 имеет только 0 сайтов, доступных на устройстве, но им необходимо 1 сайт. Срок: clk – sfagin
Я не думаю, что проблема «clk». Я нашел master .xdc для ZYBO, и все контакты определены с использованием стандарта IO, LVCMOS33. Но ошибка LVCMOS18; это означает, что где-то в коде сигнал имеет стандарт LVOMOS18 IO, связанный с ним. Это может произойти, если вы еще не назначили этот сигнал на контакт FPGA, поэтому инструмент автоматически назначает его и принимает стандарт IO по умолчанию для LVCMOS18. Проверьте, что все сигналы были сопоставлены с выводом FPGA. –