2016-07-10 3 views
0

Я занимаюсь имитацией пост-синтаксического моделирования, для которого у меня есть testbench & код verilog и синтезатор, который дает мне verilog netlist файлы. Я могу видеть моделирование перед синтезом - формы волны и дамп значения $ monitor на терминале. Тот же самый параметр $ monitor value dump также говорит мне, что постсинтезное моделирование работает отлично.Формы моделирования после синтеза не видны

, но я не в состоянии видеть после синтеза формы сигнала

Я демпинг файл .vcd через мой тестбенча для просмотра осциллограмм моделирования с помощью $ монитора.

ИНСТРУМЕНТ: Дизайн Зрение для синтеза NCVerilog для компиляции

`timescale 1ns/10 ps 
module CLA_16_4_tb(); 

reg [15:0] A=0, B=0 ; 
wire [15:0] Sum; 
wire Cout; 
reg reset,clock; 

initial begin : A_TB 
      A = 0; 
     #10 A = 16'h00FF; 
     #30 A = 16'h0000; 
     #30 A = 16'h80FF; 
     #30 A = 16'h0000; 
     #30 A = 16'h00FF; 
     #30 A = 16'h0000; 
     #30 A = 16'h1111; 
    end 

initial begin : B_TB 
      B = 0; 
     #10 B = 16'hFF01; 
     #30 B = 16'h0000; 
     #30 B = 16'h8080; 
     #30 B = 16'h0000; 
     #30 B = 16'hFF80; 
     #30 B = 16'h0000; 
     #30 B = 16'h2222; 
    end 

initial begin : reset_TB 
      reset = 0; 
     #2 reset = 1; 
     #5 reset = 0; 
     #55 reset = 1; 
     #5 reset = 0; 
     #55 reset = 1; 
     #5 reset = 0; 
     #55 reset = 1; 
     #5 reset = 0; 
     #45 $finish; 
    end 

initial begin : clock_TB 
      clock = 0; 
     #5 clock = 1; 
    forever #5 clock = ~clock; 
    end 

CLA_16_4 U1 (A, B, Sum, Cout, clock, reset); 

initial begin 
     $monitor("TIME :",$time," HEX VALUES : a_inp = %h b_inp = %h s_out = %h c_out = %h",A,B,Sum,Cout); 
    end 

initial begin 
     $dumpfile("CLA_16_4_tb.vcd"); 
     $dumpvars(0,CLA_16_4_tb); 
end 
endmodule 

$monitor terminal value dump

ответ

0

Вы можете отсутствовать + доступ + RWC в ncverilog командной строки, когда вы работаете в посте-синтез список соединения моделирования и, следовательно, могут не видеть сигналы в дампе осциллограммы.

+0

Позже я использовал скрипт, который выполнял повторяющуюся задачу, избегая опечаток! – Displayname

+0

Спасибо за помощь. – Displayname

+0

добро пожаловать :) –

Смежные вопросы