2014-09-10 4 views
0

Я скачал Vivado бесплатный веб-пакет и попытаться имитировать простой проект, как это:Ошибка компиляции в Vivado

library IEEE; 
use IEEE.STD_LOGIC_1164.ALL; 
entity async_RS_trig is 
    Port (R : in STD_LOGIC; 
      S : in STD_LOGIC; 
     Q : out STD_LOGIC; 
     nQ : out STD_LOGIC); 
end async_RS_trig; 
architecture async_RS_trig of async_RS_trig is 
    signal bQ,nbQ : std_logic; 
begin 
    bQ <= R nor nbQ; 
    nbQ<= S nor bQ; 
    Q <= bQ; 
    nQ <= nbQ; 
end async_RS_trig; 

Когда я нажимаю запустить моделирование Vivado попытаться скомпилировать этот код, и я receve ошибку:

ERROR: [XSIM 43-3409] Failed to compile generated C file  xsim.dir/async_RS_trig_behav/obj/xsim_0.c. 

Но код в порядке, я попытался смоделировать пустую архитектуру и получить такую ​​же ошибку. Что я сделал, чтобы исправить это?

Спасибо! С уважением

+0

Я искал и нашел только одна ссылка на эту ошибку, окончательный ответ, где может возникнуть проблема с установкой Vivado на платформе Windows. Если вы найдете ответ, ответьте на это самостоятельно, чтобы он был записан здесь. – user1155120

+0

Является ли это ошибкой моделирования или имеет синтез также проблемы с этим кодом? Возможно, ошибка выходит за пределы этого модуля, например. в его создании.Вы также можете попытаться добавить задержку к 'bQ <= R или nbQ' как это' bQ <= транспорт R или nbQ после 1 ns; '. Единственный способ найти решение для такого рода ошибок - играть с вашими кодами, комментировать и раскомментировать строки или модули и, возможно, попробовать различные инструментальные цепочки, такие как синтез, квест-сима, ghdl, ... Последний вопрос: используете ли вы новейшие Vivado издание 2014.2? – Paebbels

+0

Да, я пытался переустановить Vivado. Да, я использую Vivado 2014.2. Синтез идет без ошибок. У меня были другие необъяснимые ошибки, когда я пытался использовать Xilinx ISE в Windows 8, но ISE не поддерживался в Windows 8.1. Я пытаюсь изменить код, попробуйте пустой проект, когда я делаю синтаксическую ошибку. Я получаю сообщения об этом. Мой последний вариант касается Windows 8.1, но я пытаюсь сделать что-то еще :) –

ответ

0

Решено. Это лишь несколько шагов, которые вы должны проверить:

  1. я не знаю о диске, но имя каталога не запятые в нем имя и может быть еще плохо :) символы. Лучшая папка для установки - C: \ xilinx :)
  2. Полная деинсталляция системы защиты Comodo в Интернете, потому что выключение не помогло и не вызвало некоторых неприятностей.
  3. Я читал о включении Xilinx, лицензии Xilinx и проекты папки антивирусные исключения, но я не сделал этого

Я надеюсь, что это поможет кому-то :)

+0

О проблемах с установочной папкой ... Я использую ISE с 9.1, и всегда были проблемы с именами папок и файлов, которые не соответствуют схеме именования DOS 8.3. Начиная с ISE 14.7 большинство инструментов фиксировано, но некоторые из них все еще имеют проблемы с пробелами в именах. Иногда также возникают проблемы с именами жестко закодированных папок ... так что C: \ Xilinx - лучшее решение. Это справедливо и для Altera, QuestaSim, ModelSim и co. – Paebbels

+1

Да, я слышал о проблеме с папкой в ​​ISE, но я думал, что у Vivado такой проблемы нет :) –

+0

Проблема всегда, когда у вас есть место в названии вашего каталога, эта проблема была решена в предыдущей версии Vivado, но она выскочила снова с 2014.4. Проблема заключается в том, что ваш% USERPROFILE% содержит пробел или любые «неприемлемые» символы. Посмотрите http://www.xilinx.com/support/answers/62444.html – FarhadA

0

Пожалуйста, переименуйте в свою архитектуру в 'rtl' или что бы то ни было, но не используйте имя объекта как имя архитектуры еще раз.

Ответить на комментарий 1:

Моделирование использует 2 процессов, в случае işim них:

  1. YourTestbench _isim_beh.exe
  2. Тренажер графического интерфейса пользователя (isimgui.exe)

Ваши исходные файлы переведены в исходные файлы C, whi ch компилируются и связаны с исполняемым файлом. Вы можете запустить этот * .exe-файл в интерактивном режиме (tcl) или в режиме gui. Если вы вызываете этот * .exe с -gui, графический интерфейс симулятора запускается и подключается к YourTestbench _isim_beh.exe через TCP (TCP-соединение - межпроцессное взаимодействие - IPC).

Обычно ваш персональный брандмауэр должен запрашивать разрешение isimgui.exe для подключения к любому порту на localhost.

Поскольку вы используете Vivado и xSim, вы должны предоставить разрешения на подключение к графическому интерфейсу Vivado.

Эта проблема возникнет и для аппаратного сервера (hw_server).

+0

Нет, это не решение. Проблема заключалась в программном обеспечении Comodo и антивирусном программном обеспечении. Я выключил его. Симуляция идет гораздо дальше, но я получаю новую ошибку :) FATAL_ERROR: PrivateChannel: ошибка при подключении к серверному сокету ОШИБКА: [Simtcl 6-50] Механизм моделирования не запускался: симуляция завершена кодом состояния 4. –

+0

IEEE Std 1076-2008 , 13.1. Единицы проектирования, параграф 5 «Каждый первичный блок в данной библиотеке должен иметь простое имя, уникальное в данной библиотеке, и каждый элемент архитектуры, связанный с объявлением объекта, должен иметь простое имя, которое является уникальным в пределах набора имена структур архитектуры, связанных с объявлением этого объекта. " 3.3.1 Общее, параграф 3, «Идентификатор определяет простое имя тела архитектуры, это простое имя отличает тела архитектуры, связанные с одной и той же декларацией объекта». Архитектура простых имен находится в другом пространстве имен. – user1155120