Я пытаюсь объединить параметр и модуль и два числа и вернуть результат. Однако, похоже, у меня проблемы. Мой код выглядит следующим образом:verilog и выходы модуля z's
module main;
reg signed [3:0] x;
reg signed [3:0] y;
wire [3:0] out1;
ander #(.L1(4)) andd(.in1(x), .in2(y), .out(out1));
initial begin
x = 4'b0111;
y = 4'b1110;
$display("%b", out1);
$finish;
end
endmodule
module ander #(parameter L1 = 8)
(input [L1-1:0] in1,
input [L1-1:0] in2,
output wire [L1-1:0] out);
assign out = in2&in1;
endmodule
Когда я пытаюсь запустить это, выход «zzzz». Я делаю что-то неправильно?
, когда я изменить его на
$display("%b", x&y);
, кажется, чтобы показать просто отлично.
любые рекомендации? веселит
Perfect. Спасибо, никогда бы не подумал об этом ... –
Чтобы избежать условий гонки, '$ strobe' также может быть полезен. – sharvil111