2013-04-10 5 views
0

Я определил2D Массивы в Verilog

reg bricks[0:3][0:7] 

в Verilog модуля. Как я могу назначить этот 2d массив как

{{1,1,1,0,1,1,1},{1,1,1,0,1,1,1},{1,1,1,0,1,1,1},{1,1,1,0,1,1,1}}. 

Пожалуйста, помогите

+0

Назначают его как? Как значение по умолчанию или во время работы? – Tim

+0

назначьте его изначально – skjindal93

+3

Вы уверены, что хотите иметь 2-й массив бит, а не 1d-массив многоразрядного значения? например 'reg [7: 0] bricks [0: 3]' – dwikle

ответ

3
reg bricks [0:3][0:7];  //creates an unpacked array 
          // It means you can access individual bits 

bricks[1][0] = 0 ;   // Assigns 0 to the bit referenced by 1 and 0 

bricks [2] = 0 ;   // Illegal Syntax 

bricks [1][0:3] = 0 ;  //Illegal Syntax 

System Verilog обеспечивает гораздо большую гибкость