2015-12-23 2 views

ответ

1
LIBRARY ieee; 
USE ieee.std_logic_1164.all; 
use ieee.numeric_std.all; 

entity adder is 
    PORT ( 
    a : in std_logic_vector(31 downto 0); 
    b : in std_logic_vector(31 downto 0); 
    regout : out std_logic_vector (31 downto 0) 
); 
end adder; 

ARCHITECTURE adder_arch of adder is 
begin 

regout <= std_logic_vector(unsigned(a) + unsigned(b)); 

end adder_arch; 
+0

@Paebbels фиксированы. thnx для ваших замечаний. Когда «стек» стал проектом copypaste? люди могут захотеть читать и думать сами)))) – Vanechka

+0

@Paebbels в любом случае это не повод для того, чтобы опробовать новичков, которые пытаются ответить) – Vanechka

+0

Извините, я ошибся и смутил вас своим OR. Код verilog является сумматором ... Как приложение, я исправил код. – Paebbels

Смежные вопросы