2014-01-11 3 views
0

Я пытаюсь подключиться между модулем верхнего уровня и программным блоком в SystemVeilog с помощью интерфейса.Подключение программы к модулю в SystemVerilog

Мне удалось пропустить провода, но я не мог этого сделать и для параметров.

Как передать параметры в модуле к программе? Возможно ли это каким-либо образом?

ответ

2

Вы передаете parameter на номер program так же, как вы передаете его module. Обратитесь к разделу «24. Программы» IEEE Std 1800-2012. Например:

module tb; 
    test #(.WIDTH(8)) test(); 
endmodule 

program test; 
    parameter WIDTH = 5; 
    initial $display("WIDTH = %0d", WIDTH); 
endprogram 

/* 

Output: 

WIDTH = 8 

*/ 
Смежные вопросы