Вопросов:Когда использовать какие типы
Есть ли еще наши правила "супертип" в
signed
иunsigned
чемstd_logic_vector
(относительно моего дела)?Можно ли определить ввод как (подтип) целого или лучше определить его как битвектор? (Существуют ли какие-либо проблемы с подходом Integer)
Когда следует использовать разрешенную или неразрешенную логику для входов/выходов от объекта?
- Решено для водителей автобусов (из-за «водителей с высоким Z»), в противном случае неразрешено?
- Всегда решен таким образом шина может управляться/использоваться в качестве входных данных (это кажется неправильным, потому что, когда я использовал бы нерешенной тогда?)
Фактический случай:
я заявляю и я задаюсь вопросом о правильных типах входных и выходных данных. Предположим, что я устанавливаю динамическую ширину равной. Он сравнивает первые n битов двух входов для равенства.
Определение объекта будет:
entity comparisonDynWidth is
generic(
width : positive;
min_width : positive;
-- when the tools suport vhdl2008 enough
-- reason for both signed/unsigned => std_logic inputs
--function compareFunc (x: in std_logic_vector; y: in std_logic_vector) return std_logic
);
port (
left, right : in std_logic_vector(width-1 downto 0);
widthControl: in natural range 0 to width-min_width;
result : out std_logic/std_ulogic ??
);
Я выбрал std_logic_vector в качестве входных данных, так как я хочу, чтобы она выглядела порты, как общий less than
компаратор, а также, для которых знаковости вопросов и которые могут иметь signed
и unsigned
входов , , так как мне легче определить ширину как целое число, которое я сделал.
Я не совсем уверен в том, что тент входит в третью часть вопроса, давайте предположим, что я хочу реализовать открытый дренаж, вы говорите мне, что это невозможно в большинстве технологий? Как я могу реализовать шину, если я не могу Tristate драйверов, и если мне нужно, чтобы решена правильная логика? – ted
Если вы хотите открыть сток, то это будет на верхнем уровне порта ввода-вывода, и использование разрешенного типа вполне нормально и ожидается там. –