2015-03-04 2 views
0

Как я могу использовать функцию (function_a), объявленную внутри объекта (module_calling_fn_a), из другого верхнего объекта (module_top), который имеет экземпляр module_calling_fn_a.Как использовать функцию/задачу, объявленную в дополнительном модуле в VHDL

Это возможно в verilog, следующим module_calling_fn_a. function_a();

Возможно ли это в VHDL?

+0

Способность ссылаться на подпрограмму, объявленную в архитектуре разных объектов, явно запрещена, см. IEEE Std 1076-2008., 6.3 Выбранные имена, пункты 9,10. (VHDL не вызывает вещи модулей и подмодулей). – user1155120

ответ

2

Функция будет локальной для модуля module_calling_fn_a и не может использоваться из другого модуля.

Вместо этого, чтобы иметь одну и ту же функцию в обоих модулях, создайте пакет и используйте этот пакет в обоих модулях.

Смежные вопросы