2016-12-12 2 views
3

Интересно, что я делаю что-то неправильно или если я наткнулся на ошибку в LibGDX/GWT. У меня есть игра с настольными/Android/iOS/HTML-файлами и обновление до 1.9.5 от 1.9.5-SNAPSHOT примерно месяц назад, когда работа над HTML-кодом перестала работать. Основное изменение, которое я вижу, - это обновление до GWT 2.8.0 с 2.6.0.Ошибка анализа синтаксиса шрифта с помощью GWT (2.8.0) на LibGDX 1.9.5

Когда я запускаю мое приложение в браузере (Chrome 56.0.2924.21 бета (64-разрядная версия) на Windows 10), я получаю следующее сообщение об ошибке:

GwtApplication: exception: com.badlogic.gdx.utils.SerializationException: Error reading file: static/uiskin_hd.json 
com.badlogic.gdx.utils.SerializationException: Error reading file: static/uiskin_hd.json 
Error reading file: static/uiskin_hd.json 
Error reading file: static/uiskin_hd.json 
Error loading bitmap font: static/helsinki28plain_hd.fnt 
Error loading font file: static/helsinki28plain_hd.fnt 
Invalid page id: 
For input string: "" 

Шрифт работал ранее, и я получаю тот же результат с любым шрифтом, включая default.fnt из тестов LibGDX. В верхней части файла шрифта выглядит следующим образом:

info face="Helsinki" size=56 bold=0 italic=0 charset="" unicode=0 stretchH=100 smooth=1 aa=1 padding=2,2,8,4 spacing=0,0 
common lineHeight=75 base=52 scaleW=512 scaleH=1024 pages=1 packed=0 
page id=0 file="helsinki28plain_hd.png" 
chars count=141 
char id=32 x=0  y=0  width=0  height=0  xoffset=0  yoffset=52 xadvance=22  page=0 chnl=0 
char id=92 x=0  y=0  width=24  height=72  xoffset=-2  yoffset=0 xadvance=22  page=0 chnl=0 
char id=47 x=24  y=0  width=24  height=72  xoffset=-2  yoffset=0 xadvance=22  page=0 chnl=0 
... 

Просто, чтобы убедиться, что проблема не связана с моей установкой, я проверил последнюю мастер ветвь LibGDX и попытался запустить тесты GWT:

git clone https://github.com/libgdx/libgdx.git 
cd libgdx 
ant -f fetch 
ant 
./gradlew tests:gdx-tests-gwt:draftRun 

Это также, кажется, не в состоянии:

Compiling module com.badlogic.gdx.tests.gwt.GdxTestsGwt 
    Finding entry point classes 
     [ERROR] Errors in 'file:/C:/devtools/libgdx/gdx/src/com/badlogic/gdx/Net.java' 
     [ERROR] Line 80: No source code is available for type java.io.InputStream; did you forget to inherit a required module? 
     [ERROR] Errors in 'file:/C:/devtools/libgdx/backends/gdx-backends-gwt/src/com/badlogic/gdx/backends/gwt/GwtNet.java' 
     [ERROR] Line 70: No source code is available for type java.io.InputStream; did you forget to inherit a required module? 
     [ERROR] Errors in 'file:/C:/devtools/libgdx/backends/gdx-backends-gwt/src/com/badlogic/gdx/backends/gwt/preloader/Preloader.java' 
     [ERROR] Line 200: No source code is available for type java.io.InputStream; did you forget to inherit a required module? 
     [ERROR] Line 203: No source code is available for type java.io.ByteArrayInputStream; did you forget to inherit a required module? 
     [ERROR] Errors in 'file:/C:/devtools/libgdx/backends/gdx-backends-gwt/src/com/badlogic/gdx/backends/gwt/emu/com/badlogic/gdx/files/FileHandle.java' 
     [ERROR] Line 78: No source code is available for type java.io.InputStream; did you forget to inherit a required module? 
     [ERROR] Errors in 'file:/C:/devtools/libgdx/gdx/src/com/badlogic/gdx/net/Socket.java' 
     [ERROR] Line 41: No source code is available for type java.io.InputStream; did you forget to inherit a required module? 
     [ERROR] Errors in 'file:/C:/devtools/libgdx/backends/gdx-backends-gwt/src/com/badlogic/gdx/backends/gwt/preloader/Blob.java' 
     [ERROR] Line 38: No source code is available for type java.io.InputStream; did you forget to inherit a required module? 
     [ERROR] Errors in 'file:/C:/devtools/libgdx/backends/gdx-backends-gwt/src/com/badlogic/gdx/backends/gwt/emu/java/io/BufferedInputStream.java' 
     [ERROR] Line 19: No source code is available for type java.io.FilterInputStream; did you forget to inherit a required module? 
     [ERROR] Line 20: No source code is available for type java.io.InputStream; did you forget to inherit a required module? 
     [ERROR] Errors in 'file:/C:/devtools/libgdx/backends/gdx-backends-gwt/src/com/badlogic/gdx/backends/gwt/emu/java/io/Reader.java' 
     [ERROR] Line 33: No source code is available for type java.io.Closeable; did you forget to inherit a required module? 
     [ERROR] Errors in 'file:/C:/devtools/libgdx/backends/gdx-backends-gwt/src/com/badlogic/gdx/backends/gwt/emu/java/io/Writer.java' 
     [ERROR] Line 30: No source code is available for type java.io.Closeable; did you forget to inherit a required module? 
     [ERROR] Line 30: No source code is available for type java.io.Flushable; did you forget to inherit a required module? 
     [ERROR] Unable to find type 'com.badlogic.gdx.tests.gwt.client.GwtTestStarter' 
     [ERROR] Hint: Previous compiler errors may have made this type unavailable 
     [ERROR] Hint: Check the inheritance chain from your module; it may not be inheriting a required module or a module may not be adding its source path entries properly 
:tests:gdx-tests-gwt:draftCompileGwt FAILED 

Любые идеи, что здесь происходит? (Мой Java SDK - jdk1.8.0_20)

+0

Ошибка синтаксического анализа может просто произойти, потому что файл не может быть найден в libgdx выполнения. Учитывая, что предварительный загрузчик делает файлы доступными для JS синхронно, кажется, кажется, что проблема поиска io-классов может быть основной причиной вашей проблемы. Когда что-то не работает, первое, что обычно предлагают люди gwt, - это сделать какую-то чистую сборку. Может быть, есть некоторые оставшиеся вещи из предыдущей версии в пути? –

+0

Спасибо, Стефан. Исходя из вывода ошибки, пользовательский json загружается правильно, поскольку он знает, чтобы попытаться загрузить шрифт helsinki28plain_hd. Я также попробовал очистить все (в каталоге сборки - я предполагаю, что это то, где gradle помещает все промежуточные рабочие продукты), но результат был тот же. – slarbu

ответ

Смежные вопросы