2015-09-28 1 views

ответ

1

№ SystemVerilog автоматически управляет памятью, и вы не должны знать о ресурсах памяти для любого объекта. Тем не менее, большинство поставщиков инструментов предоставляют отчеты о производительности, которые могут рассказать вам, сколько памяти используют разные категории конструкций, а также количество объектов, созданных для каждого типа класса.

+0

Hi Dave, Tudor, Спасибо за ваш ответ. Но, будет ли знание выделенной памяти объекту не улучшать стиль кодирования? Или мы можем сказать, не поможет ли это повысить производительность большого тестового стенда? –

+1

Профилирование кода поможет вам лучше писать код, но профилирование не является частью стандартного языка - это специфический инструмент для разработчиков. –

1

SystemVerilog не тот язык, где вам нужно знать, сколько памяти выделяется для объекта класса, так как вы не можете выполнить любую память низкого уровня операции самостоятельно. Это дает свободу поставщикам симуляции для реализации того, что они хотят под капотом (например, инструмент от поставщика A может использовать больше физической памяти, чем тот, что у поставщика B и может быть быстрее).

Смежные вопросы