2013-12-09 5 views
-1

Я хочу, чтобы отобразить осциллограммы моего кода на gtkwave, но после того, как я ввожу эти заявления в CMI:Почему gtkwave не принимает мой код Verilog?

iverilog -o task_compiled task.v

VVP task_compiled

= > Информация о VCD: DumpFile main.vcd открыт для вывода

gtkwave task.vcd

=> GTKwave Analyzer ...

error opening .vcd file `task.vcd` 

Я заранее извиняюсь, если это происходит, как глупый вопрос, но я серьезно устал тратить свое время с этой вещью. Я просто хочу, чтобы сделать мою домашнюю работу


timescale 1ns/10ps 
module main(A_i, B_i, C_i, Y_o); 
    input A_i, B_i, C_i; 
    output Y_o; 
    wire w1, w2, w3, w4; 
    assign Y_o = w4 ~& w2; 
    assign w1 = B_i & C_i; 
    assign w2 = w1 | w3; 
    assign w3 = w4 | A_i; 
    assign w4 = A_i ~| w1; 
endmodule 
module main_tb;   
    reg a1, b1, c1; 
    wire y1; 

    initial begin 
    $dumpfile("main.vcd"); 
    $dumpvars(0,a1,b1,c1,y1); 
    a1 = 0;   
    b1 = 0; 
    # 10 a1 = 1;   
    # 10 b1 = 1;   
    # 10 a1 = 0;   
    # 10 $finish;  
    end 
    main m1 (a1, b1, c1, y1); 
endmodule 

ответ

0

не может помочь вам много, но вы читали GtkWave documentation? Они говорят о компиляции с использованием программы под названием vermin, а не iverilog. Посмотрите страницы 30 и 78. Я не видел отладочной опции для команды gtkwave, поэтому я не могу много помочь. Но такая общая ошибка, по-видимому, указывает на то, что вам, скорее всего, не хватает чего-то очевидного (или иначе, что программисты gtkwave действительно плохо справляются с сообщениями об ошибках).

+1

ive рассмотрел документацию, но снова слишком загадочен. Я ничего не имею против технических деталей, но они абсолютно бесполезны, если вы полный любитель. Я на самом деле рисовал все формы сигнала вручную, потому что я не мог двигаться дальше. Verilog и gtkwave должны быть инструментами, чтобы ПОМОЧЬ мне с моей работой, но вместо этого я иду под потоком утомительных команд. Это абсолютный позор не только для дизайнеров этого «инструмента», но и для моих профессоров, которые даже не удосужились научить вас чему-либо, как использовать verilog. Еще спасибо за подсказку, приятель! – user3081323

+0

Предоставление вам молотка не делает вас плотником. Вы пройдете через процесс попыток узнать что-то и самостоятельно вычислить недостающие части на протяжении всей своей жизни, поэтому вам лучше привыкнуть к этому. Предполагая, что люди являются полными любителями, можно было бы написать гигантскую документацию, которую большинство людей не читало бы, потому что они испугались. Вот почему некоторые люди пишут настоящие книги, учить больше, чем большую картину. Как только вы знаете, как работают инструменты, вы фактически выигрываете время, используя их. И, пожалуйста, не хэш с разработчиками бесплатного инструмента, они не заставили вас его использовать. – liberforce

+0

Попробуйте ввести «gtkwave tutorial» в поисковой системе или на YouTube, у вас больше удачи. – liberforce

3

$ dumpfile ("main.vcd"); по сравнению с gtkwave task.vcd

... это помогает, если используется правильное имя файла. Я просто добавил perror() как сообщение об ошибке, чтобы дать больше информации, когда загрузчики VCD терпят неудачу. (SVN commit # 954) Спасибо.

1

Обратите внимание, что в новых версиях gtkwave вы можете просто дважды щелкнуть по значку для файла VCD с рабочего стола, проводника и т. Д. Это работает на Linux и OSX. sample icons for save and VCD file В Windows (и других) вы можете перетащить значок в живую сессию gtkwave.

+0

. Я просто заметил недостаток beng пользователя Windows. К сожалению, я не могу открыть его напрямую. Тем не менее, я действительно ценю вашу помощь от вас, ребята. – user3081323

+0

Если вы используете Windows и вынуждены использовать gtkwave вашим профи, последний gtkwave находится здесь: http://sourceforge.net/projects/gtkwave /files/gtkwave-3.3.52-bin-win32/ ... кажется, что наиболее просматриваемые веб-страницы, с которыми я столкнулся, указывают на очень простую версию программы. Возможно, вы можете зарегистрировать VCD как файл для gtkwave, но я никогда не пытался понять, как это сделать в Windows, поскольку я живу преимущественно в среде Linux. –

+0

Кроме того, просьба дать конкретную обратную связь о боли и страданиях, с которыми сталкиваются люди в вашем классе, поскольку я могу добавить раздел быстрого запуска в документацию. Похоже, что многие классы цифрового дизайна рассматриваются как обряды прохождения, где вы бросаете спецификацию IEEE, задание, и им говорят «понять это». –

Смежные вопросы