2013-04-09 2 views
2

Я использую Xilinx ISE 13.2.Как подключить входной порт верхнего модуля к порту вывода компонентов?

Я очень новичок в VHDL, и у меня возникли проблемы с подключением компонентов. У меня есть верхний модуль и компонент (определенный в другом файле), определенный, как показано ниже:

entity kcpsm3_int_test is 
    Port (  number_in : in std_logic_vector(3 downto 0); 
        number_out : out std_logic_vector(3 downto 0); 
        button  : in std_logic; 
      interrupt_event : in std_logic; 
         clk : in std_logic); 
    end kcpsm3_int_test; 

component debounce_logic 
Port (clk : in STD_LOGIC; 
     btn_in : in STD_LOGIC; 
     btn_out : out STD_LOGIC); 
end component; 

--port 
db: debounce_logic 
port map(
      clk  => clk, 
     btn_in => button, 
     btn_out => btn_out); 

Я также определенный сигнал btn_out для подключения этих двоих. Я вижу, как подключаются два других компонента (процессор и ПЗУ), но я не мог соединить эти два. Я думаю, мне нужно каким-то образом перенести верхний модуль, но я не знаю, как это сделать, и я почти застрял здесь, как я могу продолжить? Как я могу подключить btn_out, вывод логики debounce, на вход верхнего модуля?

ответ

0

Что вы сделали: объявил объект, названный kcpsm3_int_test , объявленным компонентом debounce_logic, который был определен где-то в другом месте. Что вам нужно сделать дальше: создать экземпляр компонента debounce_logic и карту порта. это будет выглядеть примерно так.

db_1 : debounce_logic 
PORTMAP (clk => clk, 
     .... 
     ); 

here - ссылка для подробного объяснения.

Смежные вопросы